发信人: bobby (*bobbymm,锁定你做我一生的目标*), 信区: ECE
标  题: [合集]请教VHDL
发信站: 哈工大紫丁香 (2002年09月26日02:28:21 星期四), 站内信件


────────────────────────────────────────
 rockmen (塞外游侠)                   于 2002年08月18日20:31:32 星期天 说道:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY decode IS
PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
        Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END decode;
ARCHITECTURE RT1 OF decode IS
SIGNAL INDATA:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
    INDATA <= C & B & A;
PROCESS(INDATA,G1,G2A,G2B)
BEGIN
   IF ( G1 = 1 AND G2A = 0 AND G2B = 0) THEN
       CASE INDATA IS
            WHEN "000"=> Y<="11111110";
            WHEN "001"=> Y<="11111101";
            WHEN "010"=> Y<="11111011";
            WHEN "011"=> Y<="11110111";
            WHEN "100"=> Y<="11101111";
            WHEN "101"=> Y<="11011111";
            WHEN "110"=> Y<="10111111";
            WHEN "111"=> Y<="01111111";
            WHEN OTHERS=>Y<="XXXXXXXX";
        END CASE;
    ELSE
            Y<="11111111";
END IF;
END PROCESS;
END RT1;
这个例子在编译的时候竟有五个错误,实在看不出来
请各位指教
rockmen 弱弱的问!

────────────────────────────────────────
 doctorzhang (我不是大夫)             于 Sun Aug 18 20:48:11 2002) 说道:

你用什么变异的?
把错误提示也贴出来吧

【 在 rockmen (塞外游侠) 的大作中提到: 】
: LIBRARY IEEE;
: USE IEEE.STD_LOGIC_1164.ALL;
: ENTITY decode IS
: PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
:         Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
: END decode;
: ARCHITECTURE RT1 OF decode IS
: SIGNAL INDATA:STD_LOGIC_VECTOR(2 DOWNTO 0);
: BEGIN
:     INDATA <= C & B & A;
: PROCESS(INDATA,G1,G2A,G2B)
: BEGIN
:    IF ( G1 = 1 AND G2A = 0 AND G2B = 0) THEN
:        CASE INDATA IS
:             WHEN "000"=> Y<="11111110";
:             WHEN "001"=> Y<="11111101";
:             WHEN "010"=> Y<="11111011";
:             WHEN "011"=> Y<="11110111";
:             WHEN "100"=> Y<="11101111";
:             WHEN "101"=> Y<="11011111";
:             WHEN "110"=> Y<="10111111";
:             WHEN "111"=> Y<="01111111";
:             WHEN OTHERS=>Y<="XXXXXXXX";
:         END CASE;
:     ELSE
:             Y<="11111111";
: END IF;
: END PROCESS;
: END RT1;
: 这个例子在编译的时候竟有五个错误,实在看不出来
: 请各位指教
: rockmen 弱弱的问!



────────────────────────────────────────
 woshimajia ({我知道你要的只是一个拥抱})  于 2002年08月18日21:09:20 星期天 说道:

你又打错字了。肯定是故意的,装什么酷啊你。
我想是他用的是max+plusII
【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: 你用什么变异的?
: 把错误提示也贴出来吧

: 【 在 rockmen (塞外游侠) 的大作中提到: 】
: : LIBRARY IEEE;
: : USE IEEE.STD_LOGIC_1164.ALL;
: : ENTITY decode IS
: : PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
: :         Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
: : END decode;
: : ARCHITECTURE RT1 OF decode IS

────────────────────────────────────────
 doctorzhang (我不是大夫)             于 Sun Aug 18 21:15:23 2002) 说道:

用max+plusII就很难说了
很多函数,规范都不支持的说

kick 马甲


【 在 woshimajia ({我知道你要的只是一个拥抱}) 的大作中提到: 】
: 你又打错字了。肯定是故意的,装什么酷啊你。
: 我想是他用的是max+plusII
: 【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: : 你用什么变异的?
: : 把错误提示也贴出来吧
: : 
: : 【 在 rockmen (塞外游侠) 的大作中提到: 】
: : : LIBRARY IEEE;
: : : USE IEEE.STD_LOGIC_1164.ALL;
: : : ENTITY decode IS
: : : PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
: : :         Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
: : : END decode;
: : : ARCHITECTURE RT1 OF decode IS



────────────────────────────────────────
 woshimajia ({我知道你要的只是一个拥抱})  于 2002年08月18日21:22:56 星期天 说道:

你不是vhdl大拿么?怎么不帮人解决问题?
【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: 用max+plusII就很难说了
: 很多函数,规范都不支持的说

: kick 马甲


: 【 在 woshimajia ({我知道你要的只是一个拥抱}) 的大作中提到: 】
: : 你又打错字了。肯定是故意的,装什么酷啊你。
: : 我想是他用的是max+plusII
: : 【 在 doctorzhang (我不是大夫) 的大作中提到: 】

────────────────────────────────────────
 bobby (*bobbymm,锁定你做我一生的目标*)  于 2002年08月18日22:27:06 星期天 说道:

IF一句改成
IF(G1='1' AND G2A='0' AND G2B='0') THEN
文件存成decode.vhd应该就可以了。
【 在 rockmen (塞外游侠) 的大作中提到: 】
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY decode IS
PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
        Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END decode;
ARCHITECTURE RT1 OF decode IS
SIGNAL INDATA:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
    INDATA <= C & B & A;
PROCESS(INDATA,G1,G2A,G2B)
BEGIN
   IF ( G1 = 1 AND G2A = 0 AND G2B = 0) THEN
       CASE INDATA IS
            WHEN "000"=> Y<="11111110";
            WHEN "001"=> Y<="11111101";
            WHEN "010"=> Y<="11111011";
            WHEN "011"=> Y<="11110111";
            WHEN "100"=> Y<="11101111";
            WHEN "101"=> Y<="11011111";
            WHEN "110"=> Y<="10111111";
            WHEN "111"=> Y<="01111111";
            WHEN OTHERS=>Y<="XXXXXXXX";
        END CASE;
    ELSE
            Y<="11111111";
END IF;
END PROCESS;
END RT1;
这个例子在编译的时候竟有五个错误,实在看不出来
请各位指教
rockmen 弱弱的问!

────────────────────────────────────────
 doctorzhang (我不是大夫)             于 Mon Aug 19 09:02:11 2002) 说道:

板柱太厉害了
puke

【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: IF一句改成
: IF(G1='1' AND G2A='0' AND G2B='0') THEN
: 文件存成decode.vhd应该就可以了。
: 【 在 rockmen (塞外游侠) 的大作中提到: 】
: LIBRARY IEEE;
: USE IEEE.STD_LOGIC_1164.ALL;
: ENTITY decode IS
: PORT(A,B,C,G1,G2A,G2B:IN STD_LOGIC;
:         Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
: END decode;
: ARCHITECTURE RT1 OF decode IS
: SIGNAL INDATA:STD_LOGIC_VECTOR(2 DOWNTO 0);
: BEGIN
:     INDATA <= C & B & A;
: PROCESS(INDATA,G1,G2A,G2B)
: BEGIN
:    IF ( G1 = 1 AND G2A = 0 AND G2B = 0) THEN
:        CASE INDATA IS
:             WHEN "000"=> Y<="11111110";
:             WHEN "001"=> Y<="11111101";
:             WHEN "010"=> Y<="11111011";
:             WHEN "011"=> Y<="11110111";
:             WHEN "100"=> Y<="11101111";
:             WHEN "101"=> Y<="11011111";
:             WHEN "110"=> Y<="10111111";
:             WHEN "111"=> Y<="01111111";
:             WHEN OTHERS=>Y<="XXXXXXXX";
:         END CASE;
:     ELSE
:             Y<="11111111";
: END IF;
: END PROCESS;
: END RT1;
: 这个例子在编译的时候竟有五个错误,实在看不出来
: 请各位指教
: rockmen 弱弱的问!



────────────────────────────────────────
 bobby (*bobbymm,锁定你做我一生的目标*)  于 2002年08月19日12:28:39 星期一 说道:

上水母问的。
【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: 板柱太厉害了
: puke

: 【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: : IF一句改成
: : IF(G1='1' AND G2A='0' AND G2B='0') THEN
: : 文件存成decode.vhd应该就可以了。
: : 【 在 rockmen (塞外游侠) 的大作中提到: 】
: : LIBRARY IEEE;
: : USE IEEE.STD_LOGIC_1164.ALL;
: : ENTITY decode IS

────────────────────────────────────────
 doctorzhang (我不是大夫)             于 Mon Aug 19 14:54:23 2002) 说道:

呵呵
我看见了
【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: 上水母问的。
: 【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: : 板柱太厉害了
: : puke
: : 
: : 【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: : : IF一句改成
: : : IF(G1='1' AND G2A='0' AND G2B='0') THEN
: : : 文件存成decode.vhd应该就可以了。
: : : 【 在 rockmen (塞外游侠) 的大作中提到: 】
: : : LIBRARY IEEE;
: : : USE IEEE.STD_LOGIC_1164.ALL;
: : : ENTITY decode IS



────────────────────────────────────────
 liveangel (angel)                    于 Tue Aug 20 19:38:38 2002) 说道:

你看看人家
【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: 呵呵
: 我看见了
: 【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: : 上水母问的。
: : 【 在 doctorzhang (我不是大夫) 的大作中提到: 】
: : : 板柱太厉害了
: : : puke
: : : 
: : : 【 在 bobby (*bobbymm,锁定你做我一生的目标*) 的大作中提到: 】
: : : : IF一句改成
: : : : IF(G1='1' AND G2A='0' AND G2B='0') THEN
: : : : 文件存成decode.vhd应该就可以了。
: : : : 【 在 rockmen (塞外游侠) 的大作中提到: 】
: : : : LIBRARY IEEE;
: : : : USE IEEE.STD_LOGIC_1164.ALL;
: : : : ENTITY decode IS





────────────────────────────────────────
[百宝箱] [返回首页] [上级目录] [根目录] [返回顶部] [刷新] [返回]
Powered by KBS BBS 2.0 (http://dev.kcn.cn)
页面执行时间:197.989毫秒