发信人: whynot (父亲那双破草鞋), 信区: cnElectric
标  题: Re: fpga节省资源设计的一个例子(vhdl)
发信站: 哈工大紫丁香 (Thu Dec 23 13:50:29 1999), 转信

发信人: wavelets (wavelet), 信区: Circuit
发信站: BBS 水木清华站 (Tue Dec  7 12:37:08 1999) WWW-POST

由帧定位信号开始,控制各路在不同时西做不同的动作。

很普通。

更好的应该是:
if clock'event and clock='1' then
                
                if reset='1' then
                        
                        if intiming='0' then
                                counter<="00000";
                        elsif counter=19 then
                                counter<=(others=>'0');
                        else
                                counter<=counter+1;
                        end if;
                else
                        counter<=(others=>'0');
                end if;
   end if;



【 在 gyw (::-)) 的大作中提到: 】
: 你先前说用到同步的初始化和复位是
: 时隙控制常用的办法,是什么意思?
: ~~~~~~~~


--

--
☆ 来源:.哈工大紫丁香 bbs.hit.edu.cn.[FROM: ningx.bbs@smth.org]
[百宝箱] [返回首页] [上级目录] [根目录] [返回顶部] [刷新] [返回]
Powered by KBS BBS 2.0 (http://dev.kcn.cn)
页面执行时间:2.116毫秒