发信人: whynot (父亲那双破草鞋), 信区: cnElectric
标  题: Re: fpga节省资源设计的一个例子(vhdl)
发信站: 哈工大紫丁香 (Fri Dec 24 09:04:06 1999), 转信

发信人: gyw (::-)), 信区: Circuit       
发信站: BBS 水木清华站 (Tue Dec  7 12:04:15 1999)

你先前说用到同步的初始化和复位是
时隙控制常用的办法,是什么意思?
~~~~~~~~


【 在 wavelets (wavelet) 的大作中提到: 】
: 我又试了一下,发现和器件有关。
: 我用的是EPEX,同样的逻辑在MAP进
: 片子时便有了不同。
: 你可以是一是。


--

--
☆ 来源:.哈工大紫丁香 bbs.hit.edu.cn.[FROM: ningx.bbs@smth.org]
[百宝箱] [返回首页] [上级目录] [根目录] [返回顶部] [刷新] [返回]
Powered by KBS BBS 2.0 (http://dev.kcn.cn)
页面执行时间:4.601毫秒