发信人: whynot (父亲那双破草鞋), 信区: cnElectric
标  题: Re: vhdl怎么在max+plus2中编译呀
发信站: 哈工大紫丁香 (Wed Dec 22 11:46:25 1999), 转信

发信人: asm (never simpler), 信区: Circuit       
发信站: BBS 水木清华站 (Mon Nov 29 22:14:45 1999)

【 在 loewi (随风) 的大作中提到: 】
: 我在max+plus2下写好vhdl源文件后,进行complie,我已经选择了vhdl93,
: 出现如下错误:
: tdf sysntax error:Expected asserer....but found a symbolic name "library"
: 这是怎么回事呀,我是在第一行写
: library ieee;

我也觉得Maxplus II的VHDL entry有问题,而且是莫名其妙的问题。
所以我就按照前面一个大侠的说法,装上ActiveVHDL生成网表,再用
Max打开编译,效果不错的说。

--

--
☆ 来源:.哈工大紫丁香 bbs.hit.edu.cn.[FROM: ningx.bbs@smth.org]
[百宝箱] [返回首页] [上级目录] [根目录] [返回顶部] [刷新] [返回]
Powered by KBS BBS 2.0 (http://dev.kcn.cn)
页面执行时间:6.252毫秒